登录 注册
当前位置:主页 > 资源下载 > 10 > uart接收_VHDL程序.rar下载

uart接收_VHDL程序.rar下载

  • 更新:2024-07-27 11:29:14
  • 大小:7KB
  • 推荐:★★★★★
  • 来源:网友上传分享
  • 类别:硬件开发 - 开发技术
  • 格式:RAR

资源介绍

entity OPB_UARTLITE_RX is port ( Clk : in std_logic; Reset : in std_logic; EN_16x_Baud : in std_logic; Use_Parity : in std_logic; Odd_Parity : in std_logic; RX : in std_logic; Read_RX_FIFO : in std_logic; Reset_RX_FIFO : in std_logic; FIFO_Triger : in std_logic_vector(6 downto 0); Match_Trige : out std_logic; RX_Data : out std_logic_vector(7 downto 0); --(0 to C_DATA_BITS-1) RX_Data_Present : out std_logic; RX_BUFFER_FULL : out std_logic; RX_Frame_Error : out std_logic; RX_Overrun_Error : out std_logic; RX_Parity_Error : out std_logic );